毕业论文

打赏
当前位置: 毕业论文 > 自动化 >

FPGA的信号发生器设计+源代码+电路图

时间:2022-07-13 22:25来源:毕业论文
以FPGA为控制核心设计一信号发生器。本文所设计的内容是基于Altera公司的现场可编程门阵列(FPGA)运用VHDL硬件描述语言实现DDS技术,并完成信号发生器的设计

摘 要:考虑到DDS(直接数字频率合成技术)具有频率转换时间短、频率分辨率高、频率稳定度高、输出信号频率和相位可快速程控等优点,以FPGA为控制核心设计一信号发生器。本文所设计的内容是基于Altera公司的现场可编程门阵列(FPGA)运用VHDL硬件描述语言实现DDS技术,并完成信号发生器的设计。通过仿真结果表明运用FPGA和DDS技术的确使得输出的波形可以在正弦波、方波、三角波之间进行灵活的切换,除此之外还可以使其频率和幅度能够得到调整。82210

毕业论文关键词:直接数字频率合成(DDS);信号发生器;FPGA;VHDL

Signal Generator Based on FPGA

Abstract: Considering the DDS (direct digital frequency synthesis technology) with short frequency conversion time, high frequency resolution, high frequency stability, the output signal frequency and phase can be quickly SPC, etc, with the FPGA as the core to design a signal generator。 Design the contents of this paper is based on Altera corporation field programmable gate array (FPGA) by using VHDL hardware description language to realize DDS technology, and completes the design of signal generator。 Through the simulation results show that using FPGA and DDS technology can indeed make the output waveform to the sine wave, square wave, triangle wave between flexible switch, in addition to still can make the frequency and amplitude can be adjusted。 

Key Word: Direct Digital Frequency Synthesis; Waveform Generator; FPGA; DDS; VHDL

目  录

1。 绪论 1

   1。1 背景与意义 1

   1。2 国内外发展现状 2

2。 相关资料 2

   2。1 DDS技术 2

   2。2 VHDL的简介 3

3。 系统硬件电路设计 4

   3。1 信号发生器的系统组成 4

   3。2 设计原理及要求 4

   3。3 输入部分 5

   3。4 D/A转换部分 8

   3。5 滤波电路 9

4。 系统软件设计 9

   4。1 软件系统流程图 9

   4。2 软件各模块 10

5。 结束语 15

   参考文献 15

附录 17

致谢 30

   

基于FPGA的信号发生器设计1。 绪论

1。1 背景与意义

在科研、生产实践等多方面的领域有着广泛地应用并且能够按照用户的要求通过一定的方式输出相对应的频率、波形、电平电信号的设备,人们通常都叫它为信号发生器。人们把广泛用在科研、教学方面的不同频率和幅度的波形叫做信号。

作为电子测量的仪器有很多,常见得有万用表,它可用来测量阻值等,示波器可测波形等,而信号发生器也广泛地用于电参量的测量[1]。

早期多用模拟分立元件来使信号发生器得以实现。但是由于模拟器件存在稳定性不太好,灵活性相对数字系统差的特点,且最重要的是模拟器件的体积较大,看上去较为笨重,不灵活。经过这些年国内外在许多方面都有很大地进步,数字技术的发展也十分迅猛。并且信号发生器在数字技术的应用下,其发展可谓是突飞猛进,各项性能指标也进入了新的阶层。近年来许许多多较为复杂的电路系统能够为人所实现,这都得益于可编程逻辑器件(FPGA)。这个器件是有广泛的应用,这是由于FPGA容量大、运算速度快,其次还具有许多芯片所不具备的现场可编程的功能。这就使得许多系统可基于此而实现。各种信号是由用户通过一定的方法对波形进行编程而生的。许多需要测量的参数都是要由某些已知信号(波形)通过一定的测量电路或仪表才能测出。其中信号源的分类方法许多。其中按照信号源工作的不同频段分类时,不同的信号源的应用都不尽相同。 FPGA的信号发生器设计+源代码+电路图:http://www.youerw.com/zidonghua/lunwen_96370.html

------分隔线----------------------------
推荐内容