毕业论文论文范文课程设计实践报告法律论文英语论文教学论文医学论文农学论文艺术论文行政论文管理论文计算机安全
您现在的位置: 毕业论文 >> 课程设计 >> 正文

fpga/cpld为核心的数字系统 第2页

更新时间:2008-12-28:  来源:毕业论文

制作一个以fpga/cpld为核心的数字系统 第2页
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

entity lled is
port(clk:in std_logic;
st:in std_logic;
stout :out std_logic;
    
 dout:out std_logic_vector(6 downto 0)
     );
end lled;

architecture hav of lled is
signal q:std_logic_vector(6 downto 0);
signal d:std_logic_vector(3 downto 0);
signal streg:std_logic;
begin
stout<= not streg;
process(st)
begin
if st'event and st='1' then
streg<= not streg;
end if;
end process;
process(clk)
begin
if clk'event and clk='1' then
if streg='1' then
 if d="1001" then
  d<="0000";
 
 else d<=d+1;
youerw.com

 end if;
end if;
end if;
end process;
with d select
q<="1000000" when "0000",
   "1111001" when "0001",
   "0100100" when "0010",
   "0110000" when "0011",
   "0011001" when "0100",
   "0010010" when "0101",
   "0000010" when "0110",
 "1111000" when "0111",
 "0000000" when "1000",
    "0010000" when others;
dout<=q;end hav; 

上一页  [1] [2] 

fpga/cpld为核心的数字系统 第2页下载如图片无法显示或论文不完整,请联系qq752018766
设为首页 | 联系站长 | 友情链接 | 网站地图 |

copyright©youerw.com 优文论文网 严禁转载
如果本毕业论文网损害了您的利益或者侵犯了您的权利,请及时联系,我们一定会及时改正。