毕业论文

打赏
当前位置: 毕业论文 > 自动化 >

VHDL+FPGA的万年历设计

时间:2024-02-16 08:51来源:毕业论文
FPGA的万年历设计。基于VHDL语言的万年历设计。系统由控制模块、计时模块、数据译码模块、显示模块组成。经编译和仿真所设计的程序。本系统能够完成年、月、日和时、分、秒的分别

摘 要:本设计为实现一个多功能的万年历,具有年、月、日、时、分、秒计时并显示的功能;具有校对功能,能够对初始的时间进行人为的设定。本设计采用EDA技术,以硬件描述语言VHDL为系统逻辑描述手段设计具有万年历功能的硬件电路,在Quartus II软件设计环境下,采用自顶向下的设计思路,分别对各个基础模块进行创建,通过各个基础模块的组合和连接来构建上层原理图,完成基于VHDL语言的万年历设计。系统由控制模块、计时模块、数据译码模块、显示模块组成。经编译和仿真所设计的程序。本系统能够完成年、月、日和时、分、秒的分别显示,由按键输入进行万年历的校时功能。93859

毕业论文关键词:万年历,硬件描述语言,VHDL,FPGA

Abstract:This design for a multi-functional calendar, a year, month, day, hours, minutes,      seconds timer and display function; With functions of proofreading, can carry on the artificially set to the initial time。This design USES the EDA technology, system logic described mainly by means of hardware description  language  VHDL  design hardware circuit, with a built-in      permanent calendar in the Quartus II software design environment, using the top-down design  ideas, to create the basic modules, respectively, through the combination of  each basic module and the connection principle to build the upper figure, complete the perpetual calendar  based on the VHDL design。System target chip by the control module, timer modules, data decoding module, display module。 The program designed by the compilation and simulation。 This system can complete the year, month, day, and when, minutes and seconds,   respectively, according to the key input calendar when school functions。 

Key words: alendar,Hardware Description Language, VHDL ,FPGA 

目  录

1  引言 4

1。1  选题背景 4

1。2  课题研究的内容 5

2  课题设计相关技术介绍 5

2。1  EDA技术 5

2。2  可编程逻辑器件 6

2。3  VHDL描述语言 6

2。4  相关技术的发展与应用情况 6

3  总体设计方案 7

3。1  系统设计思路 7

3。2  万年历的构成 8

3。3  万年历的工作原理 9

4  系统模块设计 9

4。1  分频模块电路的设计 9

4。2  秒、分、时计数模块的设计 9

4。3  日、月、年计数模块的设计 10

4。4  校时模块的设计 11

4。5  显示模式切换模块的设计 12

4。6  顶层模块的设计 12

5  系统仿真 14

5。1  秒与分的计数模块仿真 14

5。2  小时的计数模块仿真 14

5。3  天的计数模块仿真 14

5。4  月和年计数模块仿真 VHDL+FPGA的万年历设计:http://www.youerw.com/zidonghua/lunwen_201793.html

------分隔线----------------------------
推荐内容