毕业论文

打赏
当前位置: 毕业论文 > 自动化 >

VHDL+FPGA的万年历设计(6)

时间:2024-02-16 08:51来源:毕业论文
图4-7 校时生成模块 4。5 显示模式切换模块的设计 显示模式切换模块完成显示年月日和显示时分秒的相互切换,设置一个按键K1对其进行控制,通过检测按

图4-7 校时生成模块

4。5  显示模式切换模块的设计

显示模式切换模块完成显示年月日和显示时分秒的相互切换,设置一个按键K1对其进行控制,通过检测按键的上升沿,对显示模式进行轮流切换。

      生成的模块:

图4-8 显示切换模块

4。6  顶层模块的设计文献综述

顶层设计采样原理图输入方法,用以上的各模块的VHDL源程序分别生成元器件,在此基础上用“导线”对元器件进行连接,搭建原理图,完成系统的顶层设计,而不是利用元件例化程序去设计。对于较为复杂的系统而言,采用原理图输入的设计方法思路更加清晰,设计更加直观。

顶层原理图的设计框架,有年、月、日、时、分、秒计时模块,调时校对模块,显示模式切换模块,并且由以上讨论知万年历一共有六种工作模式。

如整个工程的顶层原理图所示,各个模块的接线方式都有标识出来[12~17]。

原理图说明:

 如图4-7所示,K1键是选择万年历工作的模式,K2键提供上升沿(时钟功能)来使各计数模块加一,从而实现校时的功能。LED灯起指示作用。

VHDL+FPGA的万年历设计(6):http://www.youerw.com/zidonghua/lunwen_201793.html
------分隔线----------------------------
推荐内容