毕业论文

打赏
当前位置: 毕业论文 > 电子通信 >

基于FPGA的DDS函数信号发生器设计(4)

时间:2021-12-22 21:19来源:毕业论文
1。4 本文主要内容和章节安排 本课题主要研究基于 FPGA 技术的 DDS 波形发生器,以现场可编程门阵列 FPGA 为主要 工具,硬件逻辑方面利用 DDS 原理,合成波

1。4 本文主要内容和章节安排

本课题主要研究基于 FPGA 技术的 DDS 波形发生器,以现场可编程门阵列 FPGA 为主要 工具,硬件逻辑方面利用 DDS 原理,合成波形发生器,使之能产生诸如方波、正弦波等多种 波形。在此基础上,本文将深入研究合成波形发生器的方法,并对该过程中可能出现的一些 问题进行深入挖掘及研制解决办法。

本科毕业设计说明书 第 5  页

本文第一章是绪论,主要介绍本文所研究课题的背景及研究意义,通过查阅相关资料介 绍了频率合成技术的起源和发展过程;分析了传统频率合成方法的优缺点,从而引出当下国 内外该技术的研究现状,为本文所研究的方法做铺垫;介绍了课题设计的 FPGA 开发环境, 讨论其可行性。

本文第二章着重介绍直接数字频率合成器的工作原理,分模块介绍其基本结构和系统的 特点;推导了 DDS 参数的计算过程;对 FPGA 设计的整体流程进行阐述,介绍了本文设计所 用到的硬件描述语言及涉及到的软件,并对器件的选型进行了论述。

本文第三章首先对 DDS 系统进行了数学描述,再分理想和实际两种情况讨论其输出信号 的频谱并分析,随后探讨了系统的杂散来源,重点分析讨论了相位截断误差、幅度量化误差 所带来的影响并提出了一些抑制杂散的方法。

本文第四章研究了信号发生器的主体框架及软件设计过程,以 Altera 公司的 CycloneⅡ系 列 FPGA 芯片 EP2C35F672C6 为硬件平台,在 Quartus Ⅱ软件的支持下采用 Verilog HDL 语 言进行编程,设计原理图以构成 DDS 的各主要模块。

本文第五章基于第四章的基础,对生成的各模块进行了编译仿真,选取不同的参数设置 观察生成的波形,并将程序下载到 DE2 板上进行测试并给出仿真结果和分析,验证设计的系 统是否符合要求。

最后结论部分对整篇文章的内容进行了总结,提出了研究过程中出现的一些问题,并对 后续工作可能存在的难点进行了预测及展望,以此作为论文的结束。

第 6  页 本科毕业设计说明书

2 DDS 基本原理及 FPGA 技术

直接数字频率合成(Direct Digital Frequency Synthesis,DDS)技术是一种先进的、全数 字的频率合成技术,与传统的频率合成技术相比,基于 DDS 技术的波形发生器具有一系列优 点,如输出频率带宽较宽、频率分辨率很高、频率切换时间极短、输出相位连续以及可以产 生任意波形等[1],故近年来其发展十分迅速,其应用愈加广泛。

2。1 DDS 的基本原理

DDS 技术即直接数字频率合成,是指从相位角度出发能直接合成所需要的波形。作为信 号的一种属性,相位可以度量信号波形的变化,反映系统每个时刻信号的状态。利用 DDS 技 术合成波形的过程为:基于奈奎斯特采样定理对要求产生的波形进行采样、量化后存储到波 形查询表如 ROM 中;从数据表中读取数据,产生数字化的信号,该信号再经由 D/A 转换器 和滤波器后就变成所需的模拟信号波形。改变数据表中的内容就能得到不同的波形。信号合 成的过程框图如图 2。1 所示。

模拟信

 号输入

图 2。1   DDS 基本原理框图

下面以正弦信号为例说明 DDS 系统的工作原理。

设一个固定频率的周期正弦信号 S(t),首先根据采样定理将其离散化,可表示为:

上式中 t 表示采样时间间隔,当其不大于连续信号 S(t) 的频宽的 0。5 倍时,即可认为已经离 基于FPGA的DDS函数信号发生器设计(4):http://www.youerw.com/tongxin/lunwen_86991.html

------分隔线----------------------------
推荐内容