毕业论文

打赏
当前位置: 毕业论文 > 电子通信 >

FPGA音乐播放器的设计+程序

时间:2023-12-01 22:14来源:毕业论文
FPGA音乐播放器的设计.基于FPGA的音乐播放器,它是采用altera公司Cyclone系列型号为EP2C5T144I8的芯片作为FPGA的核心控制芯片,然后在EDA开发工具QuartusII平台上采用VHDL语言进行编写的

摘要:音乐是一种艺术,它能反映人们的生活背景和现状,同时,它还能提升人的修养,培养人的情操,所以越来越多的人喜欢听音乐。随着科技的快速发展,酷狗音乐、qq音乐、百度音乐、网易云音乐等音乐产品也越来越多,音乐播放器的种类也是形形色色。类似基于单片机的音乐播放器,同样是基于芯片的一种音乐播放器,它的主要缺点就是音色很单调,无法模拟不同乐器的音色而听起来很干涩。因只有主音调,并没有多次谐波,所以听起来并不好听。而且在节拍的控制上也并不准确。再有其他音乐播放器在编写时大多费时,工程量大并且成本也高。而本论文的设计是基于FPGA的音乐播放器,它是采用altera公司Cyclone系列型号为EP2C5T144I8的芯片作为FPGA的核心控制芯片,然后在EDA开发工具QuartusII平台上采用VHDL语言进行编写的,这种基于芯片的设计方法相比其他音乐播放器在缩减产品开发周期方面卓有成效,由于设计芯片数量少,系统体积小,系统能耗低,因此系统的灵活性和可靠性获得了极大的提高。通过仿真验证它能实现简单的手动、自动播放功能。91649

毕业论文关键词:FPGA,音乐播放器,QusrtusII,VHDL

Abstract: Music is an art, it can be used to express people's feelings, reflect the reality of life, so more and more people like to listen to music。 With the rapid development of science and technology, cool dog music, QQ music, Baidu music, net music and other music products are more and more popular, music is also a variety of music。 Like a music player

based on MCU, also is a music player based on chip, its main disadvantage is that the timbre is monotonous, because only Master Tune, there is no harmonic, so it does not sound good。 Secondly it can not simulate the sound of various instruments, only a single tone, very boring, Dry。 And in the control of the beat is not accurate。 Another music player is time-consuming, expensive and costly to write。 The design of this paper is a music player based on FPGA, which is the core control chip of altera company series model stand up for。 the design method based on the chip is effective compared with other music players in reducing product development cycle, because the number of chips, system is small, and system energy consumption is low。 By simulation, it can basically simple manual and automatic playback function

Keywords:FPGA, music player, QusrtusII, VHDL

1 前言 3

2 概述 3

2。1 FPGA的介绍 3

2。2 FPGA的发展趋势 4

2。3 EDA工具及简介 5

2。4 VHDL语言介绍 6

3 系统总体设计 6

3。1 设计目的 6

3。2 设计操作步骤 7

3。3 设计原理 8

3。4 设计结构图 9

4 系统软件设计 10

4。1 音调发生器模块 FPGA音乐播放器的设计+程序:http://www.youerw.com/tongxin/lunwen_199166.html

------分隔线----------------------------
推荐内容