毕业论文

打赏
当前位置: 毕业论文 > 自动化 >

FPGA的超声波测距仪的设计+程序

时间:2024-01-07 10:30来源:毕业论文
FPGA的超声波测距仪的设计。以FPGA为信号发生器。因为超声波信号的收发是超声波测距的核心,为了提高测量精度,本文设计了软件和硬件系统,此硬件系统基于FPGA,并且由于FPGA的运行速

摘要:市场上目前有着大量的超声波测距仪,但其中大多数的信号发生器是用传统单片机来驱动信号的,固然使用的成本低廉,但是其测量的精度往往难以令人感到满意。FPGA是一种高密度的可编程器件,其特点是具有丰富的内部资源以及较快的运行速度等。正因为如此,本文设计了一款超声波测距仪,目的在于提高测量精度,所以设计以FPGA为信号发生器。因为超声波信号的收发是超声波测距的核心,为了提高测量精度,本文设计了软件和硬件系统,此硬件系统基于FPGA,并且由于FPGA的运行速度十分的高,所以采取了分频的手法分频处理了全局时钟信号,达到了精确控制发射时的频率的目的。利用这个方案设计的超声波测距仪具有可靠性高、测量精度高等优点。通过硬件实物的设计,基本已经实现了这个功能。92684

毕业论文关键词:超声波测距系统,VHDL,FPGA 

Abstract:On the market at present there are a large number of ultrasonic range finder, but most of the signal generator is using traditional single chip to drive signal, is the use of low cost, but its measurement precision is hard to feel satisfied。The FPGA is a kind of high density programmable device, its characteristic is have a wealth of internal resources, and faster running speed, etc。Because of this, this paper designed a ultrasonic range finder, the purpose is to improve the measurement precision, so the design with FPGA as the signal generator。Because ultrasonic signal transceiver is the core of the ultrasonic ranging, in order to improve the accuracy of measurement, this paper designed the software and hardware system, the hardware system based on FPGA, and because the speed of FPGA is very high, so the adopted technique of frequency pision frequency pision processing the global clock signal, achieved the purpose of precise control frequency when firing。Take advantage of the scheme design of ultrasonic range finder has the advantages of high reliability and high accuracy of measurement。Through the design of the hardware material, has achieved the basic functions。

Keywords:Ultrasonic ranging system,VHDL,FPGA

目录

1  前言 4

1。1  选题背景 4

1。2课题研究的意义 4

1。3  超声波简介 5

1。4  本设计研究的内容及目的 5

2  设计相关技术 5

2。1  EDA技术 5

2。2  系统开发环境Quartus Ⅱ 6

2。3  系统编程语言VHDL 6

3  FPGA简介 6

3。1  FPGA概述 6

3。2  FPGA工作原理 7

3。3  FPGA型号的选择 8

4  设计方案 8

4。1  设计方案论证 9

4。2  系统整体设计原理 9

4。3  超声波传感器 10

5  系统硬件的设计 10

5。1  超声波发射电路 10

5。2  超声波接收电路 11

5。3  显示电路 12

5。4  供电电路 13

6  系统软件的设计 FPGA的超声波测距仪的设计+程序:http://www.youerw.com/zidonghua/lunwen_200386.html

------分隔线----------------------------
推荐内容