毕业论文

打赏
当前位置: 毕业论文 > 电子通信 >

信号发生器的FPGA设计与实现+仿真图

时间:2016-11-28 22:04来源:毕业论文
以Altera公司生产的FPGA芯片为核心,采用DDS技术的基本工作原理,运用Quartus II软件和VHDL语言编程,实现了一个能够产生正弦波、方波等标准波,并且只要改变FPGA中ROM的数据,就可以产生

摘  要:本文以Altera公司生产的FPGA芯片为核心,采用DDS技术的基本工作原理,运用Quartus II软件和VHDL语言编程,实现了一个能够产生正弦波、方波等标准波,并且只要改变FPGA中ROM的数据,就可以产生任意波形的频率可调的DDS信号发生器。经过时序仿真,输出的波形性能较好,控制灵活,并且达到了技术的要求。本设计具有结构简单、在线更新、成本低等优点。
关键词:信号发生器;FPGA;DDS;Quartus II;VHDL

Design and Implementation Signal Generator Based on FPGA
Abstract: This paper takes Altera Corporations’ FPGA chips as the core of design, adopts the basic working principle of DDS technology, uses Quartus II software and the VHDL programming language, and implements a DDS adjustable signal generator in frequency. It generators standard waves such as sine wave, square, etc. and generators arbitrary waveform if the ROM data in FPGA is changed. After timing simulation, the output wave has good performance, flexible control, and achieves the required aims. The design has the advantages of simple structure, on-line updating, and low cost.
Key Words: Signal generator; FPGA; DDS; Quartus II; VHDL
目录

摘要    1
引言    1
1.方案论证与比较    2
2.DDS技术    3
2.1 DDS原理    3
2.2 DDS基本结构    4
3.系统总体设计方案    5
3.1信号发生器的技术指标    5
3.2技术指标的实现    6
3.3系统设计    6
4.系统硬件电路设计    7
4.1 FPGA主控芯片    7
4.2 D/A转换电路    7
4.3滤波电路    8
5.系统软件设计    9
5.1时钟模块设计    10
5.2 DDS信号发生器顶层模块设计    10
5.3波形产生模块设计    11
6.仿真结果    13
7.结束语    14
参考文献    15
附录    16
致谢    19,4029
信号发生器的FPGA设计与实现
引言
伴随着EDA技术的快速发展,电子系统的设计技术机器工具也发生了深刻的变化,特别是大规模可编程逻辑器件FPGA(Field-Programmable Gate Array)的出现,给设计人员带来了很大的方便[1]。信号发生器又称信号源或振荡器,在生产实践和科技领域中有着广泛的应用。随着现代电子技术的飞速发展,现代电子测量工作对信号发生器的性能提出了更高的要求。传统的信号发生器多采用模拟分立元件,产生的波形种类易受电路硬件的限制,体积大,灵活性差。而FPGA在现代数字电路设计中发挥着越来越重要的作用。FPGA所具有的静态可重复编程和动态在系统重构的特性,使得硬件的功能可以像软件一样通过编程来修改,这样就极大地提高了电子系统设计的灵活性和通用性,缩短了产品的上市时间。因此,以FPGA为核心的信号发生器的设计就具有很大的优势。
DDS(Direct Digital Synthesizer)即直接数字合成器,是近几年来快速发展的一种新型频率合成技术。具有较高的频率分辨率,可以实现快速的频率切换,并且在改变频率时能够保持相位连续,很容易实现频率、相位和幅度的数控调制。尤其在通信领域,直接数字频率合成器的应用尤为广泛。DDS技术是把数字信号通过DAC转换成模拟信号的一种合成技术[2]。把输出波形的一个完整的幅度值和周期全都顺序的存放在波形存储器中,通过控制相位增量来产生相位和频率均可控制的波形。随着FPGA的不断发展,DDS技术的应用也越来越成熟。 信号发生器的FPGA设计与实现+仿真图:http://www.youerw.com/tongxin/lunwen_500.html
------分隔线----------------------------
推荐内容