毕业论文

打赏
当前位置: 毕业论文 > 电子通信 >

基于FPGA的脉冲信号发生器设计(4)

时间:2017-06-01 15:41来源:毕业论文
1.4 QuartusII简介 Quartus II是Altera公司提供的可编程逻辑器件的集成开发软件,支持原理图、VHDL、Verilog HDL以及AHDL(Altera Hardware Description Language)等多种设计


1.4  QuartusII简介
Quartus II是Altera公司提供的可编程逻辑器件的集成开发软件,支持原理图、VHDL、Verilog HDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。QuartusII集成开发软件支持可编程逻辑器件开发的整个过程,它提供一种与器件结构无关的设计环境,使设计者能方便的进行设计输入、设计处理和器件编程。
Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完整的用户图形界面设计方式,具有运行速度快,界面统一。功能集中,易学易用等特点。
为加快应用系统的开发,QuartusII集成开发软件提供了更多的知识产权模块(Intellectual Property,IP)。IP核是一些预先设计好的电路功能模块,在设计中使用这些模块不仅可以加快设计进程,而且还可以提高系统系能。同时,QuartusII开发软件还包含了LPM/MegaFunction宏功能模块库,使用户可以充分利用成熟的模块,简化了设计的复杂性,加快了设计速度,对第三方EDA工具的良好支持也使用户可以在设计流程的各个阶段使用熟悉的第三方EDA工具。
此外,Quartus II通过和DSP Builder工具与Matlab/Simulink相结合,可以方便地实现各种DSP应用系统;同时支持Altera的片上可编程系统(SOPC)开发,集系统级设计、嵌入式软件开发、可编程逻辑设计于一体,是一种综合性的开发平台
基于FPGA的脉冲信号发生器设计(4):http://www.youerw.com/tongxin/lunwen_8266.html
------分隔线----------------------------
推荐内容