毕业论文

打赏
当前位置: 毕业论文 > 电子通信 >

VHDL基于EDA三层电梯控制器设计+程序(3)

时间:2023-12-01 22:36来源:毕业论文
本文采用了EDA来进行设计与实现,EDA的发展推动了电梯事业的发展,电梯的设计是一个很常见的设计例子,对我们夯实EDA的基础具有很大的帮助。本文设计

本文采用了EDA来进行设计与实现,EDA的发展推动了电梯事业的发展,电梯的设计是一个很常见的设计例子,对我们夯实EDA的基础具有很大的帮助。本文设计的是3层自动电梯,运用的开发环境是Altera公司的QuartusII,并采用语言VHDL来设计。通过对最简单的3层电梯控制器的设计,来扩展设计知识,为将来设计高层的电梯控制器夯实基础。通过对自动电梯控制器的研究、设计,去更深入理解EDA并掌握VHDL的设计方法。

对VHDL语言编程过程,可以更深入的学习、熟悉基本单元电路电路的设计过程。并与实际生活紧密相联,通过对生活中很常见的电梯的设计,来巩固我们的知识,提高EDA的设计能力,提高分析设计中遇到的问题的能力,提高用知识解决实际问题的能力。

3控制器的功能设计

3。1控制器的主要设计思想

自动电梯是一种能够根据用户要求自动将用户送到用户指定楼层的智能装置,本文设计的电梯总共3层,我的设计思路如下:每层设有请求装置,用户按下所在楼层的请求装置,请求立即被记忆。不用考虑电梯现在在的楼层,只要有用户请求,电梯则自动运行到用户所在的楼层,执行任务,这就构成了一次行程。电梯在完成一次行程后,检测所有请求是否都执行完毕,假若没有执行完毕,电梯继续按规定运行,直到响应完所有的请求。如果已执行完毕,则电梯立即停止运行。这里的用户请求包含了外部以及内部请求。当外部有请求(升或降),则相应的点亮请求指示灯。在各楼层会安装数码管来显示电梯当前所处于的楼层。运行过程中电梯的升降情况也同时由指示灯反馈。电梯根据要求到达有请求的楼层的时候,电梯立即停止运行,与此同时灭掉之前点亮的呼叫显示灯。

使用有限状态机的设计方法设计了两个同时工作、配合的进程,在这里状态机进程是我设计的主进程,而另一进程是信号灯控制进程,作为辅助进程。根据电梯的运行状况,我给状态机设置了以下所列状态:“初始的时候在1楼”“电梯开门”“电梯关门”“电梯开

门后停第1秒”“电梯开门后停第2秒”“电梯开门后停第3秒”“电梯开门后停第4秒”

“电梯向上运行”“电梯向下运行”以及“电梯停止运行”。因为电梯上或下一楼需要1秒,所以我设置电梯状态转换的触发时钟为1s。各状态之间如何转换可由上面的运行规则来决定。状态机的众多判断是以信号灯为依据的,与此同时信号灯又受状态机的控制。

3。2主控制器和分控制器的功能

控制器由两部分构成,这两个部分分别是主控制器以及分控制器(主控制安置在电梯内部,同时各层电梯进口处安置一个分控制器),下面说明控制器的功能。1、主控制器的功能:

(1)将电梯的初始位置设定在1楼;

(2)在电梯开关的时候才响应请求,其他时间不做响应;

(3)设有电梯运行方向和电梯所在楼层的指示器;

(4)当电梯到用户所需要到达的楼层时,开门,4秒之后自动关门,电梯继续运行。在没有用户紧接着发出信号的情况下,电梯停在当前楼层待命;

(5)电梯上升或者下降一层用的时间为1s;

(6)电梯在收到请求之后,运行到请求用户需要到达的楼层,然后门自动打开;

(7)所有请求需要被记忆,包括内部和外部,并依次执行,执行完毕后清除;文献综述

(8)电梯在运行的过程中,遵循的规则是:当电梯在向上面运行的时候,只响应相对高楼层的用户请求;当电梯在向下面运行的时候,只响应相对低楼层的用户请求。2、分控制器的功能: VHDL基于EDA三层电梯控制器设计+程序(3):http://www.youerw.com/tongxin/lunwen_199172.html

------分隔线----------------------------
推荐内容