毕业论文

打赏
当前位置: 毕业论文 > 电子通信 >

VHDL+EDA波形发生器设计(2)

时间:2022-11-21 21:13来源:毕业论文
目 录 第一章 绪论 1 1。1 研究背景 1 1。2 波形发生器设计的研究 现状 和发展 1 1。3 研究波形发生器的目的和意义 2 1。4 波形发生器设计内容、要求及研究

目  录

第一章  绪论 1

1。1 研究背景 1

1。2 波形发生器设计的研究现状和发展 1

1。3 研究波形发生器的目的和意义 2

1。4 波形发生器设计内容、要求及研究方法 3

1。4。1 设计内容 3

1。4。2 设计要求 3

1。4。3 研究方法 4

第二章  波形发生器系统设计 5

2。1 Quartus II软件综述 5

2。2 VHDL硬件编程语言 5

2。2。1 硬件描述语言VHDL概述 5

2。2。2 硬件描述语言VHDL程序结构 6

2。2。3 VHDL在设计中主要结构语句的应用 7

2。3 设计方案 8

2。4 设计流程 9

2。4。1 建立工程 9

2。4。2 程序编程 12

2。4。3 执行编译 14

2。4。4 建立仿真文件 14

2。5 信号以及功能模块介绍 16

2。5。1 时钟和复位信号 16

2。5。2 波形产生模块 17

2。5。3 调控选择模块 17

2。6 系统框图 17

第三章  波形发生器系统调试分析与波形仿真 19

3。1 波形产生模块 19

3。1。1 正弦波 19

3。1。2 三角波 21

3。1。3 方波 22

3。1。4 锯齿波 24

3。1。5 阶梯波 26

3。1。6 递增波 27

3。1。7 递减波 29

3。2 调控选择模块 30

3。2。1 频率控制模块 30

3。2。2 波形输入选择模块 33

3。2。3 波形输出选择模块 34

3。2。4 幅度控制模块 35

3。3 系统调试 38

3。3。1 调试方法 38

3。3。2 编译(仿真)调试故障及解决方法 38

结   语 39

致   谢 41

参 考 文 献 42

第一章  绪论

1。1 研究背景

波形发生器是一种信号源或振荡器,在生产实践和科技领域中有着广泛的应用[1]。它能够产生多种波形,例如正弦波、方波、三角波等。频率范围可以从几毫赫或者几微赫兹的超低频到G赫兹[2]。它除了在通信、仪表和自动控制系统测试使用外,还广泛应用于其它领域[3,4]。传统的波形发生器,它可以提供正弦波、方波、三角波等最简单,也是最常用的几种基本的标准波形。 利用过去的设备产生其它的一些相对较为复杂波形时,就需要使用比较复杂的电路,然后电路和机械设备相结合,产生所需波形。过去那个时期的波形发生器大多采用模拟电子技术,然而模拟电子电路的很多器件本身就存在体积过大的问题,因此利用这些器件构成的电路也存在着体积偏大、笨重、价格昂贵、功耗大等各种缺点。如果设计需要产生更加复杂的波形,则组成就需要用到更多这样的器件,这样设计下来,这些缺点就会逐步被放大,以至于导致设计的失败或者进度缓慢。  VHDL+EDA波形发生器设计(2):http://www.youerw.com/tongxin/lunwen_102336.html

------分隔线----------------------------
推荐内容