毕业论文论文范文课程设计实践报告法律论文英语论文教学论文医学论文农学论文艺术论文行政论文管理论文计算机安全
您现在的位置: 毕业论文 >> 课程设计 >> 正文

VHDL数字钟实验报告+数字钟电路图

更新时间:2011-7-17:  来源:毕业论文

VHDL数字钟实验报告+数字钟电路图
主要内容、基本要求等一、主要内容:
利用EL教学实验箱、微机和QuartusⅡ软件系统,使用VHDL语言输入方法设计数字钟。可以利用层次设计方法和VHDL语言,完成硬件设计设计和仿真。最后在EL教学实验箱中实现。
二、基本要求:
1.具有时,分,秒,计数显示功能,以24小时循环计时。
    2.具有清零功能。
三、扩展要求
1.调节小时、分钟功能。 
2.整点报时功能,整点报时的同时LED灯花样显示。

按照规范写出论文,要求字数在4000字以上,并进行答辩。论文内容包括概述(学习、调研、分析、设计的内容摘要)、EDA技术的现状和发展趋势、对EL教学实验箱和QuartusⅡ软件的掌握程度、数字钟的设计过程(包括原理图或程原文请找腾讯752018766优,文-论'文.网http://www.youerw.com序设计、编译、仿真分析、硬件测试的全过程),论文中含有原理图、程序、仿真波形图及其分析报告。

完成期限    2周           
指导教师               
专业负责人             
年   月   日
 
东北石油大学课程设计成绩评价表
课程名称 硬件课程设计
题目名称 数字钟设计
学生姓名  学号  指导教师姓名  职称 
序号 评价项目 指         标(优秀) 满分 评分
1 选题难度 选题难度较高,或者对原题目进行了相当程度的改进。 10 
2 工作量、工作态度和出勤率 工作量饱满,工作努力,遵守纪律,出勤率高,工作作风严谨,善于与他人合作。 10 
3 课程设计质量 按期圆满的完成了规定的任务,方案设计合理,思考问题全面,系统功能完善。 40 
4 报告质量 问题论述思路清晰,结构严谨,文理通顺,撰写规范,图表完备正确。 30 
5 回答问题 在进行课程设计程序系统检查时,能正确回答指导教师所提出的问题。 10 
6 创新(加分项) 工作中有创新意识,对前人工作有改进或有应用价值。在进行系统检查时能对创新性进行说明,并在报告中有相应的论述。 +5 总分 评语:
摘 要本文介绍了利用EDA-V硬件系统和微机上的Quartus7.2-II等软件系统。VHDL的英文全名是Very-High-Speed Integrated Circuit HardwareDescription Language,诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言 。自IEEE公布了VHDL的标准版本,IEEE-1076(简称87版)之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。有专家认为,在新的世纪中,VHDL于Verilog语言将承担起大部分的数字系统设计任务。
本文设计主要利用VHDL语言在EDA平台上设计一个电子数字钟,它的计时周期为24小时,显示满刻度为23时59分59秒,另外还具有校时功能和闹钟功能。总的程序由几个各具不同功能的单元模块程序拼接而成,其中包括分频程序模块、时分秒计数和设置程序模块、比较器程序模块、三输入数据选择器程序模块、译码显示程序模块和拼接程序模块。并且使用Quartus7.2-II软件进行电路波形仿真,下载到EDA实验箱进行验证。

关键词:  EDA(电子设计自动化);VHDL(硬件描述语言),数字钟。1948

[1] [2] [3] [4] [5] 下一页

VHDL数字钟实验报告+数字钟电路图下载如图片无法显示或论文不完整,请联系qq752018766
设为首页 | 联系站长 | 友情链接 | 网站地图 |

copyright©youerw.com 优文论文网 严禁转载
如果本毕业论文网损害了您的利益或者侵犯了您的权利,请及时联系,我们一定会及时改正。